硬核国产EDA,已跨入智算创新时代

AIGC动态3天前发布 admin
79 0 0
硬核国产EDA,已跨入智算创新时代

 

文章摘要


【关 键 词】 算力芯片EDA工具国产自研技术创新集成电路

在智算时代,算力芯片设计面临规模和复杂度的大幅提升,封装、工艺和系统设计面临前所未有的挑战。国产EDA工具在国际竞争中需要提升至先进水平,以支撑中国集成电路产业的发展。面对技术壁垒、人才紧缺和迭代演进等挑战,国产EDA需持续创新,以客户为中心,加速产品迭代。

智算产业的高速扩张对国产EDA提出了新需求。算力成为国家科技实力的体现,芯片设计复杂度提升,设计和验证工作要求更准确高效。智算领域的发展颠覆了传统芯片设计方法,提升了EDA工具研发的复杂度。国产EDA需满足高端数字芯片设计的迫切需求,包括工具问题、系统级芯片性能改善、软硬件协同挑战,以及支撑国内数字大芯片客户的需求。

上海合见工业软件集团有限公司(合见工软)以创新速度和技术实力获得认可,引领产业发展和技术创新。在2024年IDAS设计自动化产业峰会期间,合见工软发布了多款国产自主自研EDA及IP产品,技术达到国际先进水平,为中国本土EDA技术突破提供推动力。

合见工软发布的产品包括硬件仿真器、原型验证平台、DFT全流程平台、电子系统设计工具和高速接口IP产品等11款创新产品。这些产品覆盖数字前端、数字后端、系统级和接口IP领域,应对AI算力中心竞赛带来的挑战。

合见工软的硬件仿真加速验证平台UVHP为国产自研硬件仿真器中首台可扩展至460亿逻辑门设计的产品,支持多系统扩展,提升仿真验证效率。UVHP基于新一代专有硬件仿真架构,提供强大支持。

合见工软的单系统先进原型验证平台PD-AS搭载AMD新一代超大自适应SoC,性能提升两倍以上,覆盖更大规模芯片验证场景。

合见工软的DFT全流程平台UniVista Tespert集成了高效工具,包括缺陷诊断软件工具和存储单元内建自测试软件工具,提升芯片测试效率。

合见工软推出的高速接口IP解决方案包括UCIe IP、HBM3/E IP、DDR5 IP、LPDDR5 IP和RDMA IP,提供创新、高可靠性、高性能的网络IP、存储IP及Chiplet接口IP解决方案。

合见工软的PCB设计平台UniVista Archer是首款国产自主自研的高性能大规模PCB和原理图设计工具,支持更高密度布局布线,保障软件运行速度,助力电子系统产品发展。

合见工软的创新产品得到了客户的积极评价,展现了公司在EDA领域的强大研发实力和对客户的支持能力。合见工软将继续技术攻坚和产品创新,助力国内集成电路设计企业乃至全球产业的进步。

豆包-智能助手

原文和模型


【原文链接】 阅读原文 [ 8077字 | 33分钟 ]
【原文作者】 半导体行业观察
【摘要模型】 moonshot-v1-32k
【摘要评分】 ★★★★★

© 版权声明

相关文章

暂无评论

暂无评论...